Midi Clock to Bus Ctrl